the Technology Interface / Winter98
VHDL Sample Routine
An 8-input NAND gate
from the Technology Interface

The following is a VHDL simulation of an 8-input NAND gate. The inputs are "a,b,c,d,e,f,g, and h" and the output is "y". The entity is called ttl7430 since this is a VHDL implementation of that device.

The simulation result for the VHDL 8-input NAND gate.
The output "y" asserts low when all inputs are high.