VHDL Routines the Technology Interface / Winter98
VHDL Sample Routine
A 4-bit Magnitude Comparator
from the Technology Interface

This VHDL routine provides the code for a 4-bit magnitude comparator. The entity describes two 4-bit inputs "a" and "b" using the vector statement. The output is "z". Comment statements are preceded by --.

A simple simultion for the 4-bit magnitude comparator VHDL file. Note that the output z asserts high when the input vector a = the input vector b.